CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 串口 vhdl

搜索资源列表

  1. UART-VHDL-design-

    0下载:
  2. 设计的VHDL串口实例,感觉还不错,可以拿来借鉴和修改啊!-Design VHDL serial instance, I feel pretty good, can be used to draw and modify ah!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-04
    • 文件大小:146205
    • 提供者:韩非
  1. VHDL-uart

    0下载:
  2. 本程序应用VHDL语言,详细描述了RS232串口协议,包括发送,接收,波特率的产生,模块化编程,对于初学者尤为有宜!-The program in VHDL language, the detailed descr iption of the RS232 serial protocol, including sending, receiving, and baud rate generation, modular programming, especially for beginners sho
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:13046
    • 提供者:wangsheng
  1. vhdl-serial

    0下载:
  2. VHDL串口通信,实现数据的发送与接收,适合FPGA和CPLD芯片的开发-VHDL serial communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:146822
    • 提供者:窦士
  1. vhdl

    0下载:
  2. 实现信号发生器的vhdl教学代码,提供了串口的功能和发生波形的功能,-Realization of the signal generator vhdl code for teaching
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:532971
    • 提供者:周一
  1. vhdl-serial

    0下载:
  2. 精品串口代码,有多种不同设置,数据位、停止位、检验位可调。-Boutique serial code, there are a variety of different settings, data bits, stop bits, parity bit adjustable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:66268
    • 提供者:t
  1. vhdl

    0下载:
  2. 开发板,初步功能,LED,串口等程序模块-develop board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:15480931
    • 提供者:苏先生
  1. mcu-cpld--vhdl

    0下载:
  2. CPLD的烧录程序,保证与单片机数据互通,提供串口引脚约束。-CPLD burning procedures to ensure that the data exchange with the microcontroller, providing serial pin constraints.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-13
    • 文件大小:1601
    • 提供者:陈雄
  1. RS232uart(VHDL)

    0下载:
  2. rs232串口程序,包括输入和输出,vhdl实现。rs232 serial procedures, including input and output, vhdl implementation.-rs232 serial procedures, including input and output, vhdl implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:47152
    • 提供者:houjiajun
  1. VHDL-serial-communication-program

    0下载:
  2. VHDL串口通信程序设计 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。-VHDL serial communication program design Function of this module is to verify the implementation and the basic functions of a PC serial communications. We need to install a seria
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:7216
    • 提供者:
  1. vhdl

    1下载:
  2. 利用vhdl语言实现(7,4)汉明码编码过程,在FPGA上实现,并且通过串口发送个计算机,在计算机上显示。-Use vhdl language (7,4) Hamming code encoding process, implemented on the FPGA, and send a computer through the serial port, the display on the computer.
  3. 所属分类:Other systems

    • 发布日期:2016-12-23
    • 文件大小:1024
    • 提供者:王梦梦
  1. 用FPGA实现UART

    0下载:
  2. 用fpga实现异步串行通信。通过串口助手接收与发送(Implementation of serial communication with FPGA)
  3. 所属分类:串口编程

    • 发布日期:2017-12-21
    • 文件大小:664576
    • 提供者:大武
  1. uart

    0下载:
  2. VHDL实现串口转换的代码,串行通信的发送器有五个状态:--1.X_IDLE(空闲)状态 : 当UART被复位后,状态机将立刻进入这一状态,在这个状态下, -- 状态机一直等待发送命令XMIT_CMD,当接收到发送命令后,状态机进入X_START状态,准备发送起始位信号 --2.X_START状态 : 在这个状态下,UART发送一个位时间宽度的逻辑'0',信号至TXD,即 -- 起始位,紧接着状态机进入X_SHIFT状态,发一位数据 --3.X_WAIT状态 : 当状态机处于这一个状态时
  3. 所属分类:VHDL/FPGA/Verilog

  1. FPGA与SPI接口程序(hdl源代码)

    1下载:
  2. FPGA,VERILOG,SPI串口通信;(FPGA,VERILOG,SPI;;;;;;;;;)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:2048
    • 提供者:dl121
  1. uart_test

    0下载:
  2. 通过FPGA,实现串口传输数据,并且可以支持多种不同的波特率,用EP4CE22F17芯片实现。(Through the FPGA, serial transmission data, and can support a variety of baud rates, using EP4CE22F17 chip implementation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:2048
    • 提供者:y1a2n3g4
  1. lab5

    0下载:
  2. 串口控制器,基于vivado软件下开发,包含代码及管脚分配文件(Serial port controller)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:7373824
    • 提供者:DukeLancelot
  1. UAET_323_to_flow_led

    0下载:
  2. VHDL 实现串口收发并点亮流水灯,仿真成功(VHDL realizes serial port transceiver and lighting water lamp)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:790528
    • 提供者:yu我所欲
  1. uart_txd

    0下载:
  2. 用VHDL实现的串口数据发送模块。使用的软件为ISE和modelsim。(Serial data transmission module implemented with VHDL.The software used is ISE and modelsim.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:196608
    • 提供者:xdytf
  1. uart

    0下载:
  2. RS232通信程序,用于实现PC端与FPGA之间实现串口通信(RS232 communication program for realizing serial port communication between PC and FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:137216
    • 提供者:.00
  1. prwgrfsscollterminal

    0下载:
  2. iic总线控制器VHDL实现 -- VHDL Source Files i2c vhd -- top level file i2c_()
  3. 所属分类:串口编程

    • 发布日期:2018-05-03
    • 文件大小:687104
    • 提供者:wzoppy
  1. 349255

    0下载:
  2. 低密度奇偶校验码的VHDL程序,用于LDPC码的硬件实现()
  3. 所属分类:串口编程

    • 发布日期:2018-05-03
    • 文件大小:2048
    • 提供者:Jolxus
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 18 »
搜珍网 www.dssz.com